Cortex-A7

[Jan 7, 2013] OR Low-Power Leadership for A Smarter Future
— The Legend of ARM Cortex-A7

Recently, media hypes about ARM Cortex-A7 are everywhere: at the beginning of December, Allwinner Technology announced its ARM Cortex-A7 powered quad-core processor, and after that, MTK6589 was said to be in mass production, and now, Qualcomm, the cell phone processor tycoon, is to release its Cortex-A7 based quad-core processor series MSM8x26. All these may make you wonder why it is Cortex-A7, and what makes it special. Maybe you can find the answer here.

clip_image002

Let’s start with a brief introduction of the ARM Cortex family.

The Cortex series is released by ARM after ARM11, and it is divided into three branches: Cortex-A, Cortex-R, and Cortex-M, respectively targeting at different applications.

It is based on the latest ARM instruction set architecture–ARMv7, and defines three profiles: A series is for virtual memory-based OS platform and user Application, R series is for Real-time system, and M series is for Microcontroller.

Just like Cortex-A8 and Cortex-A9, Cortex-A7 is a high performance processor for open operating systems. But what are the differences between Cortex-A7 and other A-series family members? Is Cortex-A7 really weaker than Cortex-A8 and Cortex-A9 as their names indicate? The answer is negative.

clip_image004

From the roadmap of ARM Cortex-A series, we can know that Cortex-A7 was released in 2011, and that is six years after Cortex-A8 was announced in 2005. As a result, Cortex-A7 turns out to be “the most efficient application processor ARM has ever developed”, just as the presentation Low-Power Leadership for A Smarter Future that Warren East, ARM CEO, gave on ARM TechCon 2012 indicates.

High performance with low power consumption, is exactly the guideline in Cortex-A7 design, and that is also in accordance with the requirements in mobile computing times.

When Compared with predecessors such as Cortex-A8/A9, Cortex-A7 CPU design does have some improvements:

  • Integrated L2 cache
  • Lower L2 latencies (10 cycles)
  • Improved OS support for L2 maintenance due to simplified software control
  • Designed with a low power approach
  • Improved branch prediction
  • Improved memory system performance
  • 64b Load Store path, improves integer and NEON performance
  • 128b AMBA 4 buses improve bandwidth
  • Increased TLB size (256 entry, up from 128 entry for Cortex-A9 and Cortex A5)
  • Increased performance for large workloads like web browsing
  • Seamlessly compatible with Cortex-A15 (big.LITTLE)

In ARM Cortex-A7, ARM transforms its design principle from improving the system performance by increasing frequency, to MPCore architecture, which could bring improvements in performance, and at the same time, circumvent the high power consumption and heat problem that haunted Cortex-A9.

That improvement thing may partly explain why the license fee of Cortex-A7 is much higher than those of its predecessors, but what also matters is the fact that if Cortex-A9 were used to power quad-core processors, then the 28nm process, which is currently immature in technology and capacity, must be used, and that will pose great challenges in resources and quality control for SoC manufacturers.

From what has been discussed above, it is not rootless for one to speculate that in 2013, the smart device processor market will be conquered by the ARM big.LITTLE system that pairs Cortex-A7 with Cortex-A15, so it does make great sense that there are so many SoC manufacturers out there turning to to Cortex-A7 quad-core processor in 2012. Well, we’ll see.

One thought on “Cortex-A7

  1. Pingback: Allwinner interest in 2013 | USD 99 Allwinner

Leave a comment